Mercurial > dotfiles
annotate dot_config/helix/runtime/queries/verilog/highlights.scm @ 1091:a1fab5298ef6 draft tip
feat: update to bitwarden 25.3 and enable ssh-agent
author | Zeger Van de Vannet <zeger@vandevan.net> |
---|---|
date | Mon, 21 Apr 2025 20:13:02 +0200 |
parents | 6b04ecaaff0f |
children |
rev | line source |
---|---|
1079
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
1 ; ; Keywords |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
2 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
3 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
4 ; block delimiters |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
5 (module_keyword) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
6 "endmodule" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
7 "program" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
8 "endprogram" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
9 "class" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
10 "endclass" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
11 "interface" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
12 "endinterface" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
13 "package" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
14 "endpackage" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
15 "checker" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
16 "endchecker" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
17 "config" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
18 "endconfig" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
19 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
20 "pure" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
21 "virtual" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
22 "extends" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
23 "implements" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
24 "super" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
25 (class_item_qualifier) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
26 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
27 "parameter" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
28 "localparam" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
29 "defparam" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
30 "assign" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
31 "typedef" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
32 "modport" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
33 "fork" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
34 "join" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
35 "join_none" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
36 "join_any" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
37 "default" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
38 "break" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
39 "assert" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
40 (unique_priority) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
41 "tagged" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
42 "extern" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
43 ] @keyword |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
44 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
45 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
46 "function" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
47 "endfunction" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
48 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
49 "task" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
50 "endtask" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
51 ] @keyword.function |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
52 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
53 "return" @keyword.control.return |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
54 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
55 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
56 "begin" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
57 "end" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
58 ] @label |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
59 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
60 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
61 (always_keyword) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
62 "generate" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
63 "for" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
64 "foreach" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
65 "repeat" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
66 "forever" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
67 "initial" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
68 "while" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
69 ] @keyword.control |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
70 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
71 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
72 "if" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
73 "else" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
74 (case_keyword) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
75 "endcase" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
76 ] @keyword.control.conditional |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
77 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
78 (comment) @comment |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
79 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
80 (include_compiler_directive) @keyword.directive |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
81 (package_import_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
82 "import" @keyword.control.import) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
83 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
84 (package_import_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
85 (package_import_item |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
86 (simple_identifier) @namespace)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
87 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
88 (package_scope |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
89 (simple_identifier) @namespace) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
90 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
91 ; TODO: match the constant name after :: as constant too |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
92 (package_import_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
93 (package_import_item |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
94 "*" @constant)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
95 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
96 (package_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
97 (simple_identifier) @namespace) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
98 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
99 ; (text_macro_definition) @keyword.directive |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
100 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
101 ; (text_macro_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
102 ; (simple_identifier) @keyword.directive) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
103 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
104 ; [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
105 ; (id_directive) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
106 ; (zero_directive) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
107 ; ] @keyword.directive |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
108 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
109 (parameter_port_list |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
110 "#" @constructor) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
111 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
112 (param_assignment |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
113 (simple_identifier) @variable.parameter) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
114 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
115 (interface_port_header |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
116 (simple_identifier) @type.parameter) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
117 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
118 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
119 "=" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
120 "-" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
121 "+" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
122 "/" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
123 "*" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
124 "^" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
125 "&" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
126 "|" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
127 "&&" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
128 "||" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
129 ":" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
130 (unary_operator) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
131 "{" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
132 "}" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
133 "'{" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
134 "<=" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
135 "@" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
136 "or" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
137 "and" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
138 "==" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
139 "!=" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
140 "===" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
141 "!==" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
142 "-:" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
143 "<" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
144 ">" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
145 ">=" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
146 "%" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
147 ">>" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
148 "<<" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
149 "|=" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
150 (inc_or_dec_operator) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
151 ] @keyword.operator |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
152 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
153 (cast |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
154 ["'" "(" ")"] @keyword.operator) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
155 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
156 (cast |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
157 (casting_type) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
158 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
159 ; (edge_identifier) @constant |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
160 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
161 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
162 (port_direction) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
163 (input_declaration) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
164 (output_declaration) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
165 ] @label |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
166 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
167 ; (port_identifier) @variable.parameter |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
168 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
169 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
170 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
171 (net_type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
172 (integer_vector_type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
173 (integer_atom_type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
174 ] @type.builtin |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
175 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
176 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
177 "signed" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
178 "unsigned" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
179 ] @label |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
180 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
181 (data_type |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
182 (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
183 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
184 ; (method_call_body |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
185 ; (method_identifier) @variable.other.member) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
186 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
187 ; (interface_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
188 ; (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
189 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
190 ; (modport_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
191 ; (modport_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
192 ; (simple_identifier) @variable.other.member)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
193 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
194 ; (net_port_type1 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
195 ; (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
196 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
197 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
198 ; (double_quoted_string) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
199 (string_literal) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
200 ] @string |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
201 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
202 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
203 (include_compiler_directive) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
204 (default_nettype_compiler_directive) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
205 (timescale_compiler_directive) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
206 ] @keyword.directive |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
207 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
208 ; begin/end label |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
209 (seq_block |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
210 (simple_identifier) @comment) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
211 (generate_block |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
212 (simple_identifier) @comment) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
213 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
214 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
215 ";" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
216 "::" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
217 "," |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
218 "." |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
219 ] @punctuation.delimiter |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
220 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
221 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
222 (default_nettype_compiler_directive |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
223 (default_nettype_value) @string) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
224 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
225 ; (text_macro_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
226 ; (simple_identifier) @function.macro) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
227 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
228 (module_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
229 (module_ansi_header |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
230 (simple_identifier) @constructor)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
231 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
232 (class_constructor_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
233 "new" @constructor) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
234 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
235 ; (parameter_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
236 ; (simple_identifier) @variable.parameter) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
237 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
238 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
239 (integral_number) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
240 (unsigned_number) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
241 (unbased_unsized_literal) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
242 ] @constant.numeric.integer |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
243 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
244 (real_number |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
245 (fixed_point_number) @constant.numeric.float) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
246 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
247 (time_unit) @constant |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
248 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
249 (constant_primary |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
250 (simple_identifier) @constant) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
251 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
252 ; (checker_instantiation |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
253 ; (checker_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
254 ; (simple_identifier) @constructor)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
255 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
256 (module_instantiation |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
257 (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
258 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
259 ; (name_of_instance |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
260 ; (instance_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
261 ; (simple_identifier) @variable)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
262 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
263 ; (interface_port_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
264 ; (interface_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
265 ; (simple_identifier) @type)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
266 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
267 (net_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
268 (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
269 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
270 (lifetime) @label |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
271 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
272 (function_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
273 (function_body_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
274 (simple_identifier) @function |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
275 (tf_port_list |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
276 (tf_port_item |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
277 (simple_identifier) @variable.parameter)))) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
278 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
279 (function_subroutine_call |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
280 (subroutine_call |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
281 (tf_call |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
282 (hierarchical_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
283 (simple_identifier) @function) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
284 (list_of_arguments |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
285 (simple_identifier) @variable.parameter)))) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
286 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
287 (system_tf_call |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
288 (system_tf_identifier) @function.builtin) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
289 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
290 ; (task_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
291 ; (task_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
292 ; (simple_identifier) @function.method)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
293 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
294 ;;TODO: fixme |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
295 ;(assignment_pattern_expression |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
296 ;(assignment_pattern |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
297 ;(parameter_identifier) @variable.other.member)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
298 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
299 (type_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
300 (data_type ["packed"] @keyword.storage.modifier)) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
301 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
302 (struct_union) @type |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
303 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
304 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
305 "enum" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
306 ] @type.enum |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
307 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
308 (enum_name_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
309 (simple_identifier) @constant) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
310 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
311 (type_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
312 (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
313 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
314 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
315 (integer_atom_type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
316 (non_integer_type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
317 "genvar" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
318 ] @type.builtin |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
319 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
320 (struct_union_member |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
321 (list_of_variable_decl_assignments |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
322 (variable_decl_assignment |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
323 (simple_identifier) @variable.other.member))) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
324 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
325 ; (member_identifier |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
326 ; (simple_identifier) @variable.other.member) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
327 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
328 (struct_union_member |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
329 (data_type_or_void |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
330 (data_type |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
331 (simple_identifier) @type))) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
332 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
333 (type_declaration |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
334 (simple_identifier) @type) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
335 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
336 ; (generate_block_identifier) @comment |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
337 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
338 [ |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
339 "[" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
340 "]" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
341 "(" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
342 ")" |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
343 ] @punctuation.bracket |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
344 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
345 (attribute_instance) @attribute |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
346 (attribute_instance |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
347 (attr_spec) @label) |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
348 |
6b04ecaaff0f
feat: add better systemverilog treesitter
Zeger Van de Vannet <zeger@vandevan.net>
parents:
diff
changeset
|
349 (ERROR) @error |